# make file for Cadence VHDL # # use tcsh # source vhdl_cshrc # make # # must have set up cds.lib and hdl.var in default directory # must have initialized library WORK and have hdl.var point to it. all: ../IEEE/std_logic_1164 \ ../IEEE/std_logic_arith \ ../IEEE/std_logic_textio \ ../IEEE/std_logic_signed \ ../IEEE/std_logic_unsigned \ ../IEEE/std_logic_misc \ ../IEEE/numeric_bit \ ../IEEE/numeric_std \ ../IEEE/mathpack ../IEEE/std_logic_1164 :std_logic_1164.vhd ncvhdl -v93 -work IEEE std_logic_1164.vhd ../IEEE/std_logic_arith :std_logic_arith.vhd ncvhdl -v93 -work IEEE std_logic_arith.vhd ../IEEE/std_logic_textio :std_logic_textio.vhd ncvhdl -v93 -work IEEE std_logic_textio.vhd ../IEEE/std_logic_signed :std_logic_signed.vhd ncvhdl -v93 -work IEEE std_logic_signed.vhd ../IEEE/std_logic_unsigned :std_logic_unsigned.vhd ncvhdl -v93 -work IEEE std_logic_unsigned.vhd ../IEEE/std_logic_misc :std_logic_misc.vhd ncvhdl -v93 -work IEEE std_logic_misc.vhd ../IEEE/numeric_bit :numeric_bit.vhd ncvhdl -v93 -work IEEE numeric_bit.vhd ../IEEE/numeric_std :numeric_std.vhd ncvhdl -v93 -work IEEE numeric_std.vhd ../IEEE/mathpack :mathpack.vhd ncvhdl -v93 -work IEEE mathpack.vhd